Как пройти эту фазу синтеза?

Когда я только синтезировал свою программу VHDL на xilinx 13.2, xilinx синтезировал эту часть очень долго (> несколько часов). Это ненормально долго, так как приличной машине обычно требуется 20 минут для синтеза кода.

Любые советы о том, как пройти этот «Анализ FSM для лучшего кодирования». фаза?

Запущено: "Синтез - XST". Запуск xst... Командная строка: xst -intstyle ise -ifn "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012 г./ISA NOMX/i8051_top.xst" -ofn "C:/Users/Farmer/Desktop/ CG3207 BACKUP/12 ноября 2012/ISA NOMX/i8051_top.syr" Дизайн чтения: i8051_top.prj

================================================== ======================= * Компиляция HDL * ======================== ================================================== Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/constants.vhd" в библиотеке работы. Компиляция vhdl файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/ext_interrupt.vhd" в библиотеке работы. Поведение архитектуры Entity ext_interrupt обновлено. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/csadde2.vhd" в библиотеке работы. Архитектура csadde2beh для Entity csadde2 обновлена. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/csadder.vhd" в библиотеке работы. Архитектура csadderbeh Entity csadder обновлена. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/sequencer2.vhd" в библиотеке работы. Архитектура seq_arch Entity sequencer2 обновлена. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/fastalu.vhd" в библиотеке работы. Архитектура fastalu_arch Entity fastalu обновлена. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/int_rom.vhd" в библиотеке работает. Архитектура поведения Entity int_rom обновлена. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/int_ram.vhd" в библиотеке работает. Синхронизация архитектуры Entity internal_ram обновлена. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/divider.vhd" в библиотеке работы. Архитектура rtl разделителя Entity обновлена. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/int_handler.vhd" в работе библиотеки. Архитектура поведения Entity int_handler обновлена. Компиляция vhdl-файла "C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/8051_top_fpga.vhd" в библиотеке работы. Поведение архитектуры Entity i8051_top обновлено.

================================================== ======================= * Анализ иерархии проекта * ====================== ================================================== = Анализ иерархии объекта в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура) с помощью дженериков. ШИРИНА = 16

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

Анализ иерархии объектов в библиотеке (архитектура).

================================================== ======================= * Анализ ЛПВП * ======================== ================================================== Анализ объекта в библиотеке (архитектура). Сущность проанализирована. Единица создана.

Анализ объекта в библиотеке (архитектура). INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 0 во время работы схемы. Регистр заменяется логикой. INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 0 во время работы схемы. Регистр заменяется логикой. INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 0000000000000000 во время работы схемы. Регистр заменяется логикой. INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 0000000000000000 во время работы схемы. Регистр заменяется логикой. INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 0000000000000000 во время работы схемы. Регистр заменяется логикой. INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 1111111111111111 во время работы схемы. Регистр заменяется логикой. INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 1111111111111111 во время работы схемы. Регистр заменяется логикой. INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 0 во время работы схемы. Регистр заменяется логикой. INFO:Xst:2679 - Регистр в модуле имеет постоянное значение 0 во время работы схемы. Регистр заменяется логикой. Сущность проанализирована. Единица создана.

Анализ объекта в библиотеке (архитектура). ИНФОРМАЦИЯ: Xst: 1561 — «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012/ISA NOMX/fastalu.vhd», строка 261: Мультиплексирование завершено: регистр по умолчанию отбрасывается. ПРЕДУПРЕЖДЕНИЕ: Xst:819 — «C :/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012 г./ISA NOMX/fastalu.vhd», строка 245: в списке чувствительности процесса отсутствует один или несколько сигналов. Чтобы включить синтез оборудования FPGA/CPLD, XST предполагает, что все необходимые сигналы присутствуют в списке чувствительности. Обратите внимание, что результат синтеза может отличаться от первоначального технического задания на проектирование. Отсутствуют следующие сигналы: , , , , Проанализированный объект. Единица создана.

Анализ объекта в библиотеке (архитектура). Сущность проанализирована. Единица создана.

Анализ объекта в библиотеке (архитектура). Сущность проанализирована. Единица создана.

Анализ объекта в библиотеке (архитектура). Сущность проанализирована. Единица создана.

Анализ объекта в библиотеке (архитектура). ПРЕДУПРЕЖДЕНИЕ: Xst: 819 — «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012/ISA NOMX/regfile.vhd», строка 89: в списке чувствительности процесса отсутствуют один или несколько сигналов. Чтобы включить синтез оборудования FPGA/CPLD, XST предполагает, что все необходимые сигналы присутствуют в списке чувствительности. Обратите внимание, что результат синтеза может отличаться от первоначального технического задания на проектирование. Отсутствуют следующие сигналы: , , , , , , , , , , , , , , , , ,

Анализ объекта в библиотеке (архитектура). INFO:Xst:2679 - Регистр > в модуле имеет постоянное значение 0 во время работы схемы. Регистр заменяется логикой. Сущность проанализирована. Единица создана.

Анализ объекта в библиотеке (архитектура). Сущность проанализирована. Единица создана.

=========================================================================

Множители: 1 16x16-битный множитель: 1

Выполнение двунаправленного разрешения порта...

Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012/ISA NOMX/multiplier.vhd». Найден 32-битный регистр для сигнала. Найден 16x16-битный множитель для сигнала . Резюме: предполагается 32 триггера D-типа. предполагаемый 1 множитель(и). Блок синтезирован.

Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012/ISA NOMX/int_rom.vhd». ВНИМАНИЕ:Xst:647 - Ввод никогда не используется. Этот порт будет сохранен и оставлен неподключенным, если он принадлежит к блоку верхнего уровня или он принадлежит к подблоку и сохраняется иерархия этого подблока. ПРЕДУПРЕЖДЕНИЕ: Xst: 647 - Ввод > никогда не используется. Этот порт будет сохранен и оставлен неподключенным, если он принадлежит к блоку верхнего уровня или он принадлежит к подблоку и сохраняется иерархия этого подблока. Найдено 4096x8-битное ПЗУ для сигнала, созданного в строке 175. Итог: предполагается 1 ПЗУ. Блок синтезирован.

Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/csadder.vhd». ВНИМАНИЕ:Xst:1780 - Сигнал никогда не используется и не назначается. Этот несвязанный сигнал будет обрезан в процессе оптимизации. Найден 1-битный xor3 для сигнала >. Найден 2-битный xor2 для signal . Найдено 1-битное xor2 для сигнала, созданного в строке 60. Найдено 3-битное xor2 для сигнала . Найдено 1-битное xor2 для сигнала, созданного в строке 82. Найдено 4-битное xor2 для сигнала . Найдено 1-битное xor2 для сигнала, созданного в строке 110. Найдено 1-битное xor2 для сигнала, созданного в строке 118. Найдено 1-битное xor2 для сигнала, созданного в строке 124. Найдено 6-битное xor2 для сигнала . Найдено 1-битное xor2 для сигнала, созданного в строке 144. Найдено 1-битное xor2 для сигнала, созданного в строке 150. Найдено 1-битное xor2 для сигнала, созданного в строке 156. Найдено 1-битное xor2 для сигнала >. Найден 2-битный xor2 для сигнала >. Найдено 1-битное xor2 для сигнала, созданного в строке 89. Найдено 3-битное xor2 для сигнала >. Найден 5-битный xor2 для сигнала >. Найдено 1-битное xor2 для сигнала, созданного в строке 163. Найдено 1-битное xor2 для сигнала, созданного в строке 170. Итог: выведено 1 Xor(s). Блок синтезирован.

Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012/ISA NOMX/csadde2.vhd». ВНИМАНИЕ:Xst:1780 - Сигнал никогда не используется и не назначается. Этот несвязанный сигнал будет обрезан в процессе оптимизации. Найден 1-битный xor2 для сигнала >. Найден 1-битный xor2 для сигнала >. Найден 2-битный xor2 для сигнала >. Найден 3-битный xor2 для сигнала >. Найден 5-битный xor2 для сигнала >. Блок синтезирован.

Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012/ISA NOMX/ext_interrupt.vhd». ПРЕДУПРЕЖДЕНИЕ: Xst: 646 - Сигнал > назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ПРЕДУПРЕЖДЕНИЕ: Xst: 646 - Сигнал > назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ПРЕДУПРЕЖДЕНИЕ: Xst: 646 - Сигнал > назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. Найден 8-битный регистр для сигнала. Найден 4-битный регистр для сигнала >. Найден 2-битный регистр для сигнала >. Найден 2-битный регистр для сигнала >. Найден 1-битный регистр для signal . Найден 1-битный регистр для signal . Резюме: предполагается 10 триггеров D-типа. Блок синтезирован.

Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/fastalu.vhd». Найден 1-битный мультиплексор 4-к-1 для сигнала . Найден 1-битный мультиплексор 4-к-1 для сигнала . Найден 1-битный мультиплексор 4-к-1 для сигнала . Найдено 1-битное xor2 для сигнала, созданного в строке 261. Найдено 1-битное xor2 для сигнала, созданного в строке 258. Найдено 1-битное xor2 для сигнала, созданного в строке 255. Найдено 1-битное xor2 для сигнала, созданного в строке 252. Найдено 8 -bit xor2 для сигнала, созданного в строке 210. Найдено 8-битное xor2 для сигнала, созданного в строке 207. Итог: предполагается 3 мультиплексора(ов). Блок синтезирован.

Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/fastal2.vhd». ПРЕДУПРЕЖДЕНИЕ:Xst:646 - Сигнал назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ПРЕДУПРЕЖДЕНИЕ:Xst:646 - Сигнал назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ПРЕДУПРЕЖДЕНИЕ:Xst:646 - Сигнал назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ПРЕДУПРЕЖДЕНИЕ:Xst:646 - Сигнал назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ПРЕДУПРЕЖДЕНИЕ:Xst:646 - Сигнал назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ПРЕДУПРЕЖДЕНИЕ:Xst:646 - Сигнал назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. Блок синтезирован.

ADVISOR - Логические функции, соответственно управляющие данными и открывающие входы этой защелки, имеют общие термины. Эта ситуация потенциально может привести к нарушениям настройки/удержания и, как следствие, к проблемам с моделированием. Эта ситуация может возникнуть из-за неполного оператора case (не охватываются все значения селектора). Вам следует тщательно проверить, не входило ли в ваши намерения описание такой защелки. Найден 8-битный регистр для сигнала. Найден 1-битный буфер с тремя состояниями для signal . Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный буфер с тремя состояниями для signal . Найден 4-битный регистр для сигнала >. Найден 2-битный регистр для сигнала >. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 2-битный регистр для сигнала >. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 1-битный xor8 для сигнала, созданного в строке 169. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Резюме: вычислено 168 триггеров D-типа. предполагается 1 Xor(s). предполагаемый 9 Tristate (s). Блок синтезирован.

Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012/ISA NOMX/8051_top_fpga.vhd». ВНИМАНИЕ:Xst:647 - Ввод никогда не используется. Этот порт будет сохранен и оставлен неподключенным, если он принадлежит к блоку верхнего уровня или он принадлежит к подблоку и сохраняется иерархия этого подблока. ПРЕДУПРЕЖДЕНИЕ:Xst:646 - Сигнал назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ВНИМАНИЕ:Xst:1780 - Сигнал никогда не используется и не назначается. Этот несвязанный сигнал будет обрезан в процессе оптимизации. ВНИМАНИЕ:Xst:1780 - Сигнал никогда не используется и не назначается. Этот несвязанный сигнал будет обрезан в процессе оптимизации. Блок синтезирован.

================================================== ======================= Отчет о синтезе HDL

Статистика макросов

: 6 3-битный регистр : 1 32-битный регистр : 1 4-битный регистр
: 2 8-битный регистр : 137

не равно: 2 4-битный компаратор больше
: 1 8-битный компаратор не равен: 1

Сумматоры/вычитатели: 1 16-битный вычитатель: 1

Счетчики: 1 4-битный обратный счетчик: 1

Регистры: 453 1-битный регистр: 306 16-битный регистр

Защелки: 8 1-битная защелка: 6 8-битная защелка

Компараторы: 5 16-разрядных компараторов. Greatequal: 1 16-разрядный компаратор.

мультиплексор : 2

Мультиплексоры: 5 1-битных мультиплексоров 4-к-1: 3 8-битных 128-к-1

: 2

Три состояния: 4 1-битных буфера с тремя состояниями: 2 8-битных три состояния

буфер: 2

Xors : 57 1-битный xor2 : 53 1-битный xor3

: 1 1-битный xor8 : 1 8-битный xor2 : 2

* Расширенный синтез ЛПВП *

Анализ FSM для лучшего кодирования.

Несколько моментов... Обратите внимание на то, что вам говорит инструмент синтезатора: как в сообщениях, так и иначе.

* Синтез ЛПВП *

=========================================================================

=========================================================================

0) Если у вас не работает симуляция, ОСТАНОВИТЕСЬ ЗДЕСЬ и сделайте это сейчас.

ПЗУ: 1 4096x8-бит ПЗУ: 1


person Ice    schedule 14.11.2012    source источник
comment
Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 Nov 2012/ISA NOMX/sequencer2.vhd». ВНИМАНИЕ:Xst:647 - Ввод никогда не используется. Этот порт будет сохранен и оставлен неподключенным, если он принадлежит к блоку верхнего уровня или он принадлежит к подблоку и сохраняется иерархия этого подблока. ВНИМАНИЕ:Xst:647 - Ввод никогда не используется. Этот порт будет сохранен и оставлен неподключенным, если он принадлежит к блоку верхнего уровня или он принадлежит к подблоку и сохраняется иерархия этого подблока. ВНИМАНИЕ:Xst:647 - Ввод никогда не используется. Этот порт будет сохранен и оставлен неподключенным, если он принадлежит к блоку верхнего уровня или он принадлежит к подблоку и сохраняется иерархия этого подблока. ВНИМАНИЕ:Xst:647 - Ввод никогда не используется. Этот порт будет сохранен и оставлен неподключенным, если он принадлежит к блоку верхнего уровня или он принадлежит к подблоку и сохраняется иерархия этого подблока. ВНИМАНИЕ:Xst:647 - Ввод никогда не используется. Этот порт будет сохранен и оставлен неподключенным, если он принадлежит к блоку верхнего уровня или он принадлежит к подблоку и сохраняется иерархия этого подблока. ПРЕДУПРЕЖДЕНИЕ:Xst:646 - Сигнал назначен, но никогда не используется. Этот несвязанный сигнал будет обрезан в процессе оптимизации. INFO:Xst:1799 - Состояние e19 никогда не достигается в FSM. INFO:Xst:1799 - Состояние e20 никогда не достигается в FSM. INFO:Xst:1799 - Состояние e21 никогда не достигается в FSM. INFO:Xst:1799 - Состояние e22 никогда не достигается в FSM. INFO:Xst:1799 - Состояние e23 никогда не достигается в FSM. INFO:Xst:1799 - Состояние e24 никогда не достигается в FSM. Найден конечный автомат для signal . -------------------------------------------------- --------------------- | Штаты | 14 | | Переходы | 37 | | Входы | 23 | | Выходы | 9 | | Часы | clk (восходящий_край) | | Сбросить | первый (положительный) | | Тип сброса | асинхронный | | Сбросить состояние | е1 | | Состояние включения | е1 | | Кодирование | автоматический | | Реализация | ЛУТ | -------------------------------------------------- --------------------- Найден конечный автомат для signal . -------------------------------------------------- --------------------- | Штаты | 6 | | Переходы | 1068 | | Входы | 177 | | Выходы | 6 | | Часы | clk (восходящий_край) | | Сбросить | первый (положительный) | | Тип сброса | асинхронный | | Сбросить состояние | е7 | | Состояние включения | е7 | | Кодирование | автоматический | | Реализация | ЛУТ | -------------------------------------------------- --------------------- Найден конечный автомат для signal . -------------------------------------------------- --------------------- | Штаты | 6 | | Переходы | 33886 | | Входы | 361 | | Выходы | 6 | | Часы | clk (восходящий_край) | | Сбросить | первый (положительный) | | Тип сброса | асинхронный | | Сбросить состояние | е13 | | Состояние включения | е13 | | Кодирование | автоматический | | Реализация | ЛУТ | -------------------------------------------------- --------------------- Найден 8-битный регистр для signal . Найден 1-битный регистр для signal . Найден 4-битный регистр для сигнала. Найден 1-битный регистр для signal . Найден 8-битный регистр для сигнала. Найден 1-битный регистр для signal . Найден 16-битный регистр для сигнала. Найден 1-битный регистр для signal . Найден 1-битный регистр для signal . Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 1-битный регистр для signal . Найден 1-битный регистр для signal . Найден 8-битный регистр для сигнала. Найден 1-битный регистр для signal . Найден 4-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 8-битный регистр для сигнала. Найден 16-битный регистр для сигнала. Найден 8-битный компаратор, не равный для сигнала, созданного в строке 1190. Итог: выведено 3 конечных автомата(ов). выведено 184 триггера D-типа. предполагаемый 1 Компаратор(ы). Блок синтезирован.   -  person    schedule 14.11.2012
comment
Синтезирующий блок. Связанный исходный файл: «C:/Users/Farmer/Desktop/CG3207 BACKUP/12 ноября 2012/ISA NOMX/int_ram.vhd». ПРЕДУПРЕЖДЕНИЕ: Xst: 736 - Обнаружена 1-битная защелка для сигнала, созданного в строке 38. Защелки могут быть сгенерированы из неполных операторов case или if. Мы не рекомендуем использовать защелки в конструкциях FPGA/CPLD, так как они могут привести к проблемам с синхронизацией. ПРЕДУПРЕЖДЕНИЕ: Xst: 736 - Обнаружена 8-битная защелка для сигнала, созданного в строке 37. Защелки могут быть сгенерированы из неполных операторов case или if. Мы не рекомендуем использовать защелки в конструкциях FPGA/CPLD, так как они могут привести к проблемам с синхронизацией. ПРЕДУПРЕЖДЕНИЕ: Xst: 736 - Обнаружена 1-битная защелка для сигнала, созданного в строке 38. Защелки могут быть сгенерированы из неполных операторов case или if. Мы не рекомендуем использовать защелки в конструкциях FPGA/CPLD, так как они могут привести к проблемам с синхронизацией. ИНФОРМАЦИЯ: Xst: 2371 - HDL ADVISOR - Логические функции, соответственно управляющие данными и вентилями, разрешают входы этой защелки, имеют общие термины. Эта ситуация потенциально может привести к нарушениям настройки/удержания и, как следствие, к проблемам с моделированием. Эта ситуация может возникнуть из-за неполного оператора case (не охватываются все значения селектора). Вам следует тщательно проверить, не входило ли в ваши намерения описание такой защелки. ПРЕДУПРЕЖДЕНИЕ: Xst: 736 - Обнаружена 1-битная защелка для сигнала, созданного в строке 37. Защелки могут быть сгенерированы из неполных операторов case или if. Мы не рекомендуем использовать защелки в конструкциях FPGA/CPLD, так как они могут привести к проблемам с синхронизацией. ИНФОРМАЦИЯ: Xst: 2371 - HDL ADVISOR - Логические функции, соответственно управляющие данными и вентилями, разрешают входы этой защелки, имеют общие термины. Эта ситуация потенциально может привести к нарушениям настройки/удержания и, как следствие, к проблемам с моделированием. Эта ситуация может возникнуть из-за неполного оператора case (не охватываются все значения селектора). Вам следует тщательно проверить, не входило ли в ваши намерения описание такой защелки. Найден 1-битный буфер с тремя состояниями для signal . Найден 8-битный буфер с тремя состояниями для signal . Найден 8-битный мультиплексор 128-к-1 для сигнала, созданного в строке 42. Найден 8-битный мультиплексор 128-к-1 для сигнала, созданного в строке 49. Найден 640-битный регистр для сигнала >. Найден 256-битный регистр для сигнала >. ИНФОРМАЦИЯ: Xst: 738 - HDL ADVISOR - 1024 триггера были выведены для сигнала . Возможно, вы пытаетесь описать ОЗУ способом, несовместимым с блочными и распределенными ресурсами ОЗУ, доступными на устройствах Xilinx, или с определенным шаблоном, который не поддерживается. Пожалуйста, ознакомьтесь с документацией по ресурсам Xilinx и руководством пользователя XST для получения рекомендаций по кодированию. Использование ресурсов оперативной памяти приведет к улучшению использования устройства и сокращению времени синтеза.   -  person Bill the Lizard    schedule 15.11.2012


Ответы (1)


1) В вашем предыдущем вопросе Как вывести из сводного отчета уже было понятно что ваше большое заявление о прецеденте доставляло проблемы, и я предложил подход, чтобы начать его упростить.

2) Если синтез занимает так много времени для процессора, который изначально использовал только 20000 (?) транзисторов, вам нужно сделать что-то другое.

3) В ОГРОМНОМ и по большей части ненужном списке, который вы привели, есть предупреждения о защелках и неполном покрытии кейсов. Найдите и исправьте их: они точно не помогут синтезатору найти хорошее решение!

4) Посмотрите, что делает каждый выход или группа связанных выходов для каждого кода операции или группы кодов операций; и вы найдете огромные упрощения. Если взять n отдельных маленьких конечных автоматов и смешать их в один огромный SM, это не только создаст проблему n**2, но и сделает практически невозможным ее распутывание. Например, проблема с защелкой может быть изолирована в гораздо меньшем блоке кода и исправлена ​​там; отследить его в этом большом СМ будет... сложно.

Модель «одного процесса» является хорошим стилем во многих случаях; но можно зайти слишком далеко.

Можете ли вы опубликовать рассматриваемый код VHDL? Нашли ли вы наименьшее количество кода, воспроизводящего проблему?

Пожалуйста, сократите свой вопрос до самой важной информации. Пример, который вы разместили в качестве ответа, должен быть частью вопроса.

person user_1818839    schedule 15.11.2012