Поддержка Modelsim для SV

На данный момент использую modelsim SE 5.8e. Он не поддерживает SystemVerilog. Мне нужно использовать SystemVerilog для разработки и проверки моего проекта. Есть идеи, какая версия Modelsim хорошо поддерживает как проектирование, так и подмножество валидации sytemverilog? Раньше я использовал VCS и пытался найти его, могу ли я использовать Modelsim вместо VCS для моделирования.

Заранее спасибо!


person newbie    schedule 15.03.2013    source источник


Ответы (3)


Согласно этой таблице, ModelSim поддерживает конструктивные особенности SystemVerilog. , но не функции проверки. Это означает, что он, вероятно, не поддерживает классы, рандомизацию или функции покрытия SV.

Последняя платформа симуляторов от Mentor Graphics называется Questa. На самом деле это просто расширение Modelsim. Questa полностью поддерживает SystemVerilog. Это то, что вам нужно, если у вас есть (или вы можете получить) лицензию на это. По моему опыту, симуляторы EDA лицензируются на многоуровневой основе, поэтому некоторые функции могут быть доступны только при наличии определенной лицензии.

Маркетинговая страница симулятора Questa находится по адресу http://www.mentor.com/products/fv/questa/

person dwikle    schedule 16.03.2013

Вы используете студенческую версию, поэтому попробуйте один из этого списка.

Я думаю, что нет симулятора с открытым исходным кодом, дайте нам знать, если найдете что-нибудь.

другой вариант, вы можете использовать другие вещи, например myhdl, проверьте это тоже.

person 0x90    schedule 15.03.2013
comment
Мне удается приобрести лицензию на полную версию. Однако я не уверен, какой из них будет поддерживать функции проверки SV. Любая рекомендация? - person newbie; 16.03.2013

ModelSim 10.1d поддерживает SystemVerilog, за исключением покрытия SystemVerilog, утверждений SystemVerilog, randomize() метода и program блоков. Студенческая версия и версия Altera-Starter бесплатны.

ModelSim 10.1d можно использовать для проверки. Большинство инженеров по верификации используют библиотеку UVM, а ModelSim может запускать UVM .

Тривиальный стенд для тестирования UVM для ModelSim

person Victor Lyuboslavsky    schedule 06.01.2014