ModelSim VHDL оценка времени реального моделирования

Я хочу получить время моделирования VHDL модели с помощью тестового стенда. Я работаю в ModelSim и знаю, что профилировщик ModelSim поддерживает анализ производительности, но это не та информация, которую я хочу.

Время, отнимаемое не на этапах моделирования, а на моем компьютере в реальном времени. Я ищу предложения в VHDL или команды в ModelSim или других сторонних инструментах.


person vivo    schedule 15.05.2013    source источник


Ответы (2)


В Modelsim работает интерпретатор tcl, так что вы можете его использовать.

Функция clock seconds, вероятно, является хорошим началом, сохраните значение в начале и в конце и вычтите их.

Руководство по TCL начинается здесь.

Например (Предупреждающий лектор: я не эксперт по TCL, и я давно уже ничего не писал :)

set start [clock seconds]      # store the start time
run -all                       # run the simulation assuming it terminates when finished!
set finish [clock seconds]     # store the end time
puts [ expr {finish - start} ] # print out the difference
person Martin Thompson    schedule 15.05.2013
comment
Да, это работает, я использую такую ​​команду, как ваше предложение, следующим образом: установить начало [часы в секундах]; запустить 1 мс; установить финиш [часы в секундах]; помещает [expr {$ finish - $ start}], но я хочу знать, есть ли более точное время, например ms, ns или ps, а не секунды, которые просто возвращают мне приблизительный результат. Спасибо. - person vivo; 16.05.2013
comment
Я понял! используйте clicks (ns) substitude the seconds, Большое спасибо, сэр. - person vivo; 16.05.2013

Вы также можете использовать это:

set sim_time [time {run -all}]

Это даст вам время для моделирования в микросекундах.

person Muhammad R. Soliman    schedule 18.03.2016