С Modelsim я хотел бы протестировать код, но один сигнал всегда остается неинициализированным. Вот фрагмент кода, объясняющий проблему с Modelsim:
-- Signal Declaration
signal shifter : std_logic_vector(0 to 6);
signal led_out_temp : std_logic;
process (reset_reset_n) is
begin
if reset_reset_n = '0' then
shifter <= (others => '0'); -- After reset_reset_n goes to '0' shifter is '0000000'
led_out_temp <= '0'; -- Always has the value 'U'
end if;
end process;
Когда я прохожу через него, я могу проверить значения, но даже после выхода из процесса сигнал "led_out_temp" равен 'U'. Может кто-нибудь сказать мне, почему?
Спасибо!
led_out_temp
имеет поведение защелки. - person Paebbels   schedule 22.10.2015