Массив IO в файле ограничений Xilinx [VHDL Spartan-6]

Я учусь программировать как VHDL, так и пытаться реализовать его на FPGA (оценочная плата Xilinx Spartan-6). До сих пор я просматривал руководство по началу работы, здесь, которое бесполезно - поскольку это просто показывает мне, насколько велик потенциал FPGA, если вы знаете, что делаете (а я не знаю). Затем я просмотрел видео на YouTube, чтобы светодиодный индикатор мигал через исходный код VHDL, ограничение файл и, наконец, программирование его через iMPACT / JTAG.

Итак, я пошел дальше и сделал кнопку, которая включает светодиод при удерживании, что также сработало, однако из моего вектора светодиодов, которые включаются, когда я нажимаю кнопку, я должен объявить их расположение контактов для каждого индекса, как показано ниже;

NET "LED(0)" LOC = "D17";
NET "LED(1)" LOC = "AB4";
NET "LED(2)" LOC = "D21";
NET "LED(3)" LOC = "W15";
NET "CLK" LOC = "K21";
NET "BUTTON" LOC = "F3";

Как мне объявить весь массив от светодиода (0) до светодиода (3) в одной строке? Должен быть другой способ, учитывая, насколько большим может быть std_logic_vector .

В качестве последующего вопроса, если кто-нибудь знает какие-либо ресурсы, учебные пособия, видео или даже книги, которые могут помочь мне с основами реального программирования ПЛИС Spartan-6 (или эквивалентной) с уровня новичка, это будет очень признательно!

Большое спасибо!

Дэйвид


person davidhood2    schedule 30.10.2015    source источник
comment
Каждый элемент в векторе светодиода отображается на уникальный вывод на микросхеме, поэтому нет смысла не делать это на нескольких линиях. Поскольку вы используете Spartan-6, вы вынуждены использовать старую инструментальную цепочку Xilinx ISE вместо никогда не Vivado, которая намного лучше и, например, имеет более сложные файлы ограничений в форме сценариев TCL.   -  person kraigher    schedule 31.10.2015


Ответы (1)


Файлы Xilinx UCF поддерживают заполнители, такие как * и ?. Но, к сожалению, расположение контактов не имеет порядка или шаблона, поэтому вы можете назначать их только по одному.

Наша PoC-библиотека пытается минимизировать работу конечного пользователя, предоставляя готовые к использованию файлы UCF со значимым сигналом. имена. В один проект можно загрузить несколько файлов UCF.

Вот пример Virtex-5. Также существуют файлы XDC для набора инструментов Vivado.

person Paebbels    schedule 31.10.2015
comment
А, ладно - так, если бы контакты были последовательными, например, С D0 на D3 это было бы возможно? - person davidhood2; 31.10.2015
comment
Не совсем потому, что у тебя, например. 8 светодиодов, но D? соответствует 10 контактам. Но можно назначить IOSTANDARD или DRIVE всем контактам светодиодов. - person Paebbels; 31.10.2015