Невозможно запустить файл tcl в версии Vivado 2016.4

Я пытаюсь запустить файл .tcl, изначально настроенный для 2014.4, на версии Vivado 2016.4. Однако я получаю следующую ошибку:

    while executing
"create_bd_cell -type ip -vlnv xilinx.com:ip:mig mig_0 "
    (procedure "create_root_design" line 111)
    invoked from within
"create_root_design """
    (file "all.tcl" line 405)

Файл tcl использует часть xcku040-ffva1156-2 и пытается получить доступ к IP-адресу mig, который, как мне кажется, переименован / изменен в более поздних версиях. Есть ли обходной путь для этого?

Шаги, которые я сделал до сих пор:

  1. Изменил номер версии на 2016.4
  2. пробовал заменить целевые платы.
  3. пробовал на той же плате с альтернативным IP для миграции.
  4. пробовал на версиях 2016.4 и 2015.4

Пока ничего из этого не сработало

Прикрепление файла .tcl для справки: all.tcl


person Abhishek Agarwal    schedule 16.05.2017    source источник
comment
Это странно; у вас есть трассировка стека, но нет фактического сообщения об ошибке. Может ли сообщение об ошибке (из create_bd_cell) быть пустым? Сам Tcl по возможности не генерирует пустые сообщения об ошибках, поскольку они невероятно сбивают с толку, но create_bd_cell не столько Tcl, сколько (предположительно) Vivado ...   -  person Donal Fellows    schedule 16.05.2017
comment
Да, я связался с поддержкой Xilinx для Vivado по этому поводу. Похоже, они прекратили поддержку / переименовали mig (Генератор интерфейса памяти) в более новых версиях. Таким образом, вам нужно запустить этот скрипт в версии 2014 года, сгенерировать дизайн, а затем открыть тот же дизайн в версии 2015 года. Версия 2015 года автоматически предложит обновления для прекращенных / переименованных IP-адресов. Повторите то же самое, чтобы перейти к версии 2016 года. Это единственный способ сделать это. Также необходимо постоянно проверять, остаются ли основные функции IP такими же после автоматических обновлений Vivado.   -  person Abhishek Agarwal    schedule 19.05.2017


Ответы (1)


Поскольку при каждом обновлении версии Vivado Design Suite части переименовываются или удаляются, невозможно запустить файл .tcl, предназначенный для более ранней версии, в новых выпусках. Поэтому я выполнил указанный выше запрос на форумах сообщества Xilinx и нашел обходной путь:

Запустите этот сценарий в предыдущей версии (в данном случае 2014 г.), сгенерируйте дизайн, а затем откройте тот же дизайн, используя следующий основной выпуск (версия 2015 г.). Версия 2015 года автоматически предложит обновления для прекращенных / переименованных IP-адресов. Повторите то же самое, чтобы перейти к версии 2016 года. Это единственный способ сделать это. Также необходимо постоянно проверять, остаются ли основные функции IP такими же после автоматических обновлений с помощью Vivado Design Suite.

person Abhishek Agarwal    schedule 10.06.2017