Добрый день и спасибо, что посмотрели на этот вопрос
Я использую VCSMX (версия от июня 2011 г.) для моделирования ядра с интерфейсом типа записи. Ядро написано все на VHDL. Я использую Testbench Systemverilog (SV), чтобы возбудить это ядро.
Запись выглядит примерно так:
type ll_port is record
frame_name : std_logic_vector(WIDTH-1 downto 0);
frame_valid : std_logic;
.
.
.
end record;
Я читал, что тип записи VHDL такой же, как struct в SV. Однако, когда я пытаюсь реализовать структуру ll_port в SV, кажется, что это все еще не поддерживается в VCSMX. Верное решение - разложить порт записи на std_logic и std_logic_vector.
Однако этот тип записи в VHDL будет использоваться несколько раз, и несколько ядер будут взаимодействовать в окончательной системе, что делает декомпозицию каждого порта записи действительно громоздкой.
Я искал, как решить эту проблему и какие советы я могу получить в этом вопросе. У меня уже есть настраиваемая инфраструктура моделирования в SystemVerilog, и я хотел бы знать, есть ли обходные пути для решения этой проблемы.
RRS