Вопросы по теме 'magnitude'

4-битный компаратор VHDL
Я должен сделать компаратор 4-битной величины в VHDL только с одновременными операторами (без if/else или case/when). library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Exercise is port ( A : in std_logic_vector (3 downto 0); B : in...
9570 просмотров
schedule 14.04.2023

как разложить изображение на величину и фазу и снова восстановить его с помощью Matlab?
Как я могу разложить изображение (например, Лена) на изображение величины и фазовое изображение и снова восстановить его из этих двух изображений с помощью Matlab? Вот код, который я написал в Matlab, но я не знаю, почему восстановленное...
2401 просмотров
schedule 15.02.2024