Вопросы по теме 'soc'

Ошибка при записи в 3-й корпус в интерфейсе сопоставления памяти HPS FPGA Avalon
Мне нужно передать count_x 32-битных данных в HPS через интерфейс отображения памяти Avalon. 1-й и 2-й корпус работали нормально. И я получил данные в HPS. Но в 3-м случае WHEN '2' => avs_s0_readdata <= count_x(31 downto 0); показывает...
168 просмотров
schedule 13.07.2023