Непрерывное моделирование Verilog

Существуют ли в verilog специальные начальные и конечные ключевые слова, которые позволяют продолжать симуляцию до тех пор, пока не будет встречено конечное ключевое слово? Я понимаю, что это невозможно синтезировать; однако я хочу использовать его только для целей тестирования. Также ключевое слово $finish в verilog приводит к выходу из modelsim.


person kdgwill    schedule 14.05.2012    source источник


Ответы (1)


$finish и $stop — это системные задачи Verilog для остановки моделирования. $finish завершает симуляцию, тогда как $stop останавливает симуляцию и переходит в интерактивный режим. Таким образом, вы можете использовать $stop. Даже $finish должно быть хорошо, так как ModelSim обычно спрашивает, хотите ли вы закончить. Выберите «нет», чтобы остаться в режиме симуляции, при выборе «да» вы выйдете из ModelSim.

person robin    schedule 14.05.2012