В Synopsys VCS, как я могу получить необработанный выходной файл RTL verilog?

Мне нужен вывод препроцессора VCS. Есть способ получить файл verilog, в котором все параметры и макросы заполнены из препроцессора. Как я могу это сделать?


person user5888527    schedule 19.12.2019    source источник


Ответы (2)


Я нашел ответ в Интернете. Команда vppreproc выравнивает все макросы/определения.

https://metacpan.org/pod/distribution/Verilog-Perl/vppreproc

person user5888527    schedule 19.12.2019

В vcs вы можете использовать квалификатор -Xman=28. Он сгенерирует файл токена с расширенными макросами.

Из руководства:

Создает искаженную версию ввода, заменяя имена переменных на слова из списка. Полезно, чтобы получить весь дизайн Verilog в один файл. Вывод сохраняется в файле tokens.v. Вы можете заменить -Xman на -Xmangle. Номер аргумента может быть 1, 4, 12 или 28: -Xman=1 Произвольно изменяет имена и идентификаторы и удаляет комментарии, чтобы обеспечить более безопасный код. -Xman=4 Сохраняет имена переменных, но удаляет комментарии. -Xman=12 Делает то же самое, что и -Xman=4, но также вводит в комментарии исходное имя исходного файла и номер строки заголовка каждого модуля. -Xman=28 Делает то же самое, что и -Xman=12, но также записывает внизу файла исчерпывающую статистику о содержимом оригинального исходного файла.

person Serge    schedule 19.12.2019