Вопросы по теме 'alu'

Процедура ALU-n на схеме
Я новичок в языке схем, поэтому у меня возникли проблемы с написанием процедуры, которая принимает n-битное число и помещает его в ALU. Предполагается, что АЛУ будет построен с использованием 1-битного АЛУ. Вот 1-битный ALU: (define ALU1...
511 просмотров
schedule 20.03.2022

Испытательный стенд ALU Verilog не уверен, что инициализирован правильно
Я пытаюсь написать тестовый стенд для своего ALU, но. Я не уверен, что это написано так, чтобы это работало. Например, мне следует использовать dut или uut ? Правильно ли я инициализировал свои входы? Выходная волна просто прямая (не меняется с...
2432 просмотров
schedule 05.02.2024

Сдвиг влево в дополнении и умножении до 2
Я реализую простое (виртуальное) АЛУ и некоторые другие микросхемы (сумматор, умножитель и т.д.). Я использую представление дополнения 2 для своих чисел. Для умножения x и y, двух 16-битных чисел, я подумал, что буду использовать сдвиги влево в...
255 просмотров
schedule 29.04.2022

неправильное выходное значение в 8-битном алюминии
Я хочу написать восьмибитный ALU. Я написал этот код, но когда я его моделирую, вывод имеет значение x, почему это произошло? и у меня есть еще одна проблема, которую я не знаю, как я могу показать 8-битный параметр в моделировании Modelsim, когда у...
453 просмотров
schedule 14.05.2022

Как современные процессоры X86 действительно вычисляют умножение?
Я смотрел лекцию по алгоритмам, и профессор использовал умножение как пример того, как можно улучшить наивные алгоритмы ... Это заставило меня понять, что умножение не так очевидно, хотя, когда я кодирую, я просто считаю это простой атомарной...
432 просмотров

Структурный Verilog 8-функциональный ALU
Я знаю, как закодировать ALU, используя поведенческий стиль, но я совершенно не понимаю, как это сделать, используя структурный дизайн. Мне нужно структурное ALU с 8-функциональными битами, чтобы я мог изменить размер слова с помощью параметра,...
1117 просмотров
schedule 26.05.2022

Тестовый стенд VHDL не меняет вывод ALU 32bit
Видите ли, я уже закончил описывать ALU на VHDL с помощью modelsim, однако тестовый стенд, похоже, не обновляет решение, когда я вижу симуляцию, ответ 32-битной схемы всегда говорит "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" Я не знаю, что я написал...
244 просмотров
schedule 20.01.2024

Превращение 1-битного ALU в 8-битное ALU в Verilog
Ниже приведен мой 1-битный ALU, который доказал свою работоспособность. Теперь я хотел бы использовать этот 1-битный aLU в 8-битном alu, и он должен пройти тестовый стенд. Пока я скомпилировал 8-битный код ALU, но он, похоже, не работает. Любая...
1445 просмотров
schedule 24.04.2022

Испытательный стенд ALU с использованием тестового векторного файла не работает
Я новичок в этом, и вопрос может показаться глупым, но я потратил на это часы, и тестовый стенд просто не хочет загружать правильные значения в регистр перед выполнением арифметики. Вот что происходит, когда я запускаю симуляцию # [...
141 просмотров
schedule 16.01.2024