Вопросы по теме 'xilinx-ise'

запуск ise14.6 на ubuntu 12.4
Я установил «ise14.6» на «ubuntu». Но после установки я не знаю, как его запустить. Я где-то читал, что я должен получить settings.sh. но в папке i нет такого файла. установил "ise14.6". Не могли бы вы помочь. Мне нужно для его запуска, и после...
386 просмотров
schedule 04.01.2024

VHDL - несвязанные компоненты в верхнем модуле
Я работаю над проектом, и мне не удается подключить компоненты в верхнем модуле. Я просто не вижу, что я делаю неправильно. Любые предложения высоко ценятся. Помимо невозможности увидеть компоненты на схеме RTL, я получаю несколько...
4019 просмотров
schedule 29.03.2024

Как обновить данные coe в ISE?
У меня есть простой проект в ISE (Webpack), состоящий из блока ROM, который я заполняю данными из coe-файла. Если я отредактирую этот файл с помощью внешнего приложения (скажем, блокнота), то как мне заставить изменения распространяться на реализацию...
321 просмотров
schedule 07.04.2024

HDLParsers:800 Тип ** несовместим с типом **
entity address_decoder is PORT(address : in STD_LOGIC_VECTOR ( 0 to 3 ); decoded_address : out integer range 0 to 15); end address_decoder; architecture dataflow of address_decoder is begin PROCESS(address) begin if address = "0000" then...
2015 просмотров
schedule 28.04.2023

Преобразование типов в VHDL: вещественное число в целое — указан ли режим округления?
При отладке обработки определяемых пользователем физических типов в Vivado ( подробнее ), я обнаружил другое поведение для преобразования типа из вещественного в целочисленное. Вот мой пример кода: library IEEE; use...
17680 просмотров

Xilinx ISE Project Navigator (nt64) и MVS2010
После «Создать программный файл» для простого проекта светодиодов FPGA с использованием Xilinx 14.7 ISE (nt64) я получил следующую ошибку: Started : "Map". Running map... Command Line: map -intstyle ise -p xc6slx45-csg484-2 -w -logic_opt off -ol...
440 просмотров
schedule 11.03.2023

реализация задержки 50 нс в VHDL
Я отправляю данные на аналого-цифровой преобразователь, и мне нужно, чтобы данные команды были задержаны не менее чем на 50 нс от clk_19khz. Вот что у меня есть до сих пор. Как мне вставить задержку 50 нс, которая требуется для аналого-цифрового...
841 просмотров
schedule 22.11.2022

Совет для 4-битного вычитания дополнения до 2
Мне нужно спроектировать и реализовать 4-битный процессор для курса в универе с использованием Xilinx, Virtex 6, VHDL. Я действительно не знаю, что все это значит, я всего лишь студент первого курса. Это были инструкции, которые мы получили для...
419 просмотров
schedule 23.03.2023

Использование Generate Block/Loop для создания сумматора Ripple Carry
Я уже провел здесь поиск и нашел некоторые идеи, но некоторые концепции использования такого рода циклов ускользают от меня. Вот мои модули для Half-Adder и Full-Adder: module HalfAdder( A, B, Cout, S ); input A, B; output Cout, S;...
2796 просмотров
schedule 20.04.2023

Предупреждение VHDL Xst:1293 FF/Latch имеет постоянное значение 0
Ни один из ответов в Интернете не помог мне, так как я новичок в VHDL. Я делаю интерфейс пароля в vhdl с кнопками и светодиодами. Моя программа имитирует правильно, как и ожидалось. По сути, я хочу, чтобы светодиоды мигали при вводе...
705 просмотров
schedule 12.04.2022

Сопоставление областей PCIe BAR размером более 4 МБ в Xilinx Vivado
Мы разрабатываем систему со специальным процессором, Microblaze и некоторыми периферийными устройствами на VC709 FPGA с использованием Xilinx Vivado. Мы используем два «PCIe: BAR» в «Мосте AXI для PCI Express Gen 3». Изменения, которые мы вносим в...
547 просмотров
schedule 16.08.2023

Дождитесь изменения состояния ввода, чтобы начать процесс
Я программирую CPLD Coolrunner2 (XC2C64A) с помощью программного обеспечения ISE Project Navigator. На данный момент он должен действовать только как логический вентиль (выход = o_buzzer) между контактом PIC32 (вход = i_pic) и RPi CM3 (вход = i_cm)....
55 просмотров
schedule 09.09.2022

Предупреждение об отсутствии соединения VHDL, 4-значный кодовый замок
Я работаю над проектом, и я не могу понять это. Я просто не вижу, что я делаю неправильно. Любые предложения высоко ценятся. Этот проект находится в VHDL, и это примерно 4-значный кодовый замок на стартовой плате Spartan 3e. Это мой первый проект...
351 просмотров
schedule 20.07.2023